广告
广告
基于FPGA的交通信号灯控制系统
您的位置 资讯中心 > 技术与应用 > 正文

基于FPGA的交通信号灯控制系统

2012-08-01 16:03:56 来源:现代电子技术

【哔哥哔特导读】为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuattusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系统的设计。该系统具有电路简单、可靠性强、运算速度高、参数易修改等特点。通过软件进行仿真,并在KX_7C5TP型开发板下载模拟,结果表明系统工作正常,控制器能

摘要:  为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuattusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系统的设计。该系统具有电路简单、可靠性强、运算速度高、参数易修改等特点。通过软件进行仿真,并在KX_7C5TP型开发板下载模拟,结果表明系统工作正常,控制器能完成预定的设计要求。

关键字:  交通灯控制系统,  FPGA

为了解决传统交通灯控制系统常采用单片机或PLC等控制芯片所具有的控制不精确、系统外围电路复杂、程序修改不灵活、成本偏高等缺点,利用VHDL硬件描述语言,通过QuattusⅡ软件和以CycloneⅡ系列FPGA为核心的开发板,完成交通信号灯控制系统的设计。该系统具有电路简单、可靠性强、运算速度高、参数易修改等特点。通过软件进行仿真,并在KX_7C5TP型开发板下载模拟,结果表明系统工作正常,控制器能完成预定的设计要求。

0 引言

随着社会经济的高速发展,由车辆大幅增加而带来的交通问题日趋严重。因此,作为交通监管系统的重要组成部分,交通信号灯在协调人、车、路的关系时发挥着巨大的作用。

EDA(Electronic Design Automation,电子设计自动化)是依靠功能强大的计算机,对以硬件描述语言HDL(Hardware Description Langu age)为系统逻辑描述手段完成的设计文件加以处理,自动实现既定的电子线路系统功能的一种技术。利用EDA技术进行电子系统设计的最终目标是完成专用集成电路ASIC(Application Specific Integrated Circuit)的设计和实现。ASIC作为最终的物理平台,集中容纳了用户通过EDA技术将电子应用系统的既定功能和技术指标具体实现的硬件实体。现场可编程门阵列FPGA(Field Programmahie Gate Array)是实现这一途径的主流器件,其特点是具有极大的灵活性和通用性,开发效率高,成本低,技术维护简单,工作可靠性好。

1 设计要求

1.1 应用背景

有一条主干道和一条支干道的汇合点形成十字交叉路口,主干道为东西向,支干道为南北向。为确保车辆安全、迅速地通行,在交叉道口的每个入口处设置了红、绿、黄3色信号灯及左转向灯,如图1所示。

1.2 要求

(1)主干道绿灯亮时,支干道红灯亮,反之亦然,两者交替允许通行。主干道每次放行40 s,支干道每次放行30 s。每次绿灯亮,前10 s为左转灯亮,后5 s为黄灯亮。余下为直行灯亮。

(2)能实现正常的倒计时显示功能。

(3)能实现总体清零功能:计数器由初始状态开始计数,对应状态的指示灯亮。

2 系统状态分析

对设计要求进行分析可知,主、支干道交通灯变化顺序应如图2所示。

状态转换如表1所示。

3 系统结构设计

根据要求,系统结构图设计如图3所示。时钟脉冲由分频器对晶振脉冲进行分频产生。主控制器接收时钟信号,并据此进行状态转换,同时输出各状态的时间。信号灯控制器根据主控制器产生的状态量对主、支干道信号灯进行控制。由于主控制器输出的时间信号为实数类型,因此还需设计分位器将其转换为两组BCD码分别送至数码管显示。

4 VHDL设计实现

为便于系统实现,采用VHDL语言对各个模块进行设计。主控制器为一计数器,输入信号为秒脉冲,以70为一个周期,计数到1后,在下一个时钟信号来到时,计数器复位,开始下一轮计数,如此往复。系统复位信号reset可使计数器从任意状态复位至状态S0,并重新开始计数。下面是主控制器的VHDL文件。其中clk和rst是时钟和复位信号,state表示当前状态,seg7a、seg7b分别表示主、支干道倒计时时间,temp为内置变量。

5 结果仿真

通过QuartusⅡ软件将各模块连接,进行编译、仿真,各模块可正常工作。分配引脚后,将配置文件下载至KX_7C5TP型FPGA开发板,系统运行正常,验证了整个设计的正确性,仿真结果如图4,图5所示。

6 结语

从上述设计可以看出,利用FPGA进行交通信号灯控制系统的设计,使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的设计,这不仅提高了设计的灵活性,也便于设计者对信号灯的定周控制时间进行修改。

本文为哔哥哔特资讯原创文章,未经允许和授权,不得转载,否则将严格追究法律责任;

阅读延展
FPGA
  • 英特尔拆分FPGA业务 直指AI

    英特尔拆分FPGA业务 直指AI

    在被英特尔收购了9年之后,昔日的FPGA巨头又带着它的名字回来了,FPGA市场或将迎来一个全新的时代。

  • 揭开医用警报的神秘面纱 — 第2部分

    揭开医用警报的神秘面纱 — 第2部分

    现在有多种方式可以实现报警功能,例如使用MCU、现场可编程门阵列(FPGA)或复杂可编程逻辑器件(CPLD)、集成蜂鸣器、音频编解码器或分立式运算放大器和胶合逻辑。

  • Achronix与Napatech携手为数据中心网络提供智能网卡解决方案

    Achronix与Napatech携手为数据中心网络提供智能网卡解决方案

    联合解决方案提供基于FPGA的、高速可编程的智能网卡(SmartNIC)。Napatech基于FPGA的SmartNIC通过提供可定制的数据处理加速功能,消除了各种标准服务器平台之间的性能差距。

  • 莱迪思Nexus FPGA技术平台在关键任务应用中的优势

    莱迪思Nexus FPGA技术平台在关键任务应用中的优势

    工业、汽车、通信、航空航天和安防市场对关键任务(Mission-critical)应用的需求越来越大。如今,莱迪思NexusTM技术平台为用于关键任务(Mission-critical)应用的FPGA提供了绝对的优势。

  • FPGA图像处理开发流程

    FPGA图像处理开发流程

    一个常规的FPGA图像处理开发流程是由需求分析及问题描述、软件算法设计及验证、硬件平台设计、FPGA映射以及仿真验证几部分组成。

  • FPGA是怎么从龙套变成C位的 来看看吧

    FPGA是怎么从龙套变成C位的 来看看吧

    本文主要介绍了FPGA,FPGA是一种数字集成电路,它是一个可以通过编写来修改内部结构的芯片,随着半导体技术的进一步发展,FPGA渐渐从配角转变为主角。

微信

第一时间获取电子制造行业新鲜资讯和深度商业分析,请在微信公众账号中搜索“哔哥哔特商务网”或者“big-bit”,或用手机扫描左方二维码,即可获得哔哥哔特每日精华内容推送和最优搜索体验,并参与活动!

发表评论

  • 最新评论
  • 广告
  • 广告
  • 广告
广告
粤B2-20030274号   Copyright Big-Bit © 2019-2029 All Right Reserved 大比特资讯 版权所有     未经本网站书面特别授权,请勿转载或建立影像,违者依法追究相关法律责任